網站首頁 個人文檔 個人總結 工作總結 述職報告 心得體會 演講稿 講話致辭 實用文 教學資源 企業文化 公文 論文

電子鐘課程設計心得(精選多篇)

欄目: 專題心得體會 / 發佈於: / 人氣:8.91K

第一篇:電子鐘課程設計心得

電子鐘課程設計心得(精選多篇)

這次電子技術課程設計,我很用心的去完成,當總原理圖繪好的那一刻,心裏有説不出的滿足感。從這次課程設計中,我真正學到了很多有用的知識。

拿到課題後,我首先將《數字電子技術》中有關本次設計的內容複習了一遍,比如七段譯碼顯示器、計數器、振盪器等等。然後根據設計要求,我去圖書館查閲了相關的資料,對整體框架做了一個初步的瞭解。做完準備工作後就正式開始設計與繪圖。先要將沒每一功能模塊設計出來,再整體排版、連接。

這次設計讓我熟練掌握了課本上的一些理論知識,時計數器我選用的是74ls290,我覺得用它來做時計數器比較合適,教材上關於74ls290的內容比較詳細,因而設計起來也很順手。我使用振盪器是由555定時器與rc組成,因為學過555定時器的應用,所以理解起來會容易一些。這次課程設計加強了我收集資料和充分利用資料的能力,原本想用74ls290或是74161做分秒計數器,結果發現畫出來太複雜,連線太多。通過在圖書館查到的資料,在瞭解了中規模計數器74ls90的功能後,我認為選用它做分、秒計數器設計出來比較簡單。還有校時電路的設計,我查到了關於這方面內容的詳細資料,通過對資料的理解和分析,弄動其工作原理後,我設計出所須的電路。

在這次課程設計中,另我最有成就感的是整點報時電路的設計。剛開始還真不知道怎麼下手,找了一些資料但看不大懂,而且不知道怎樣將報時電路與總原理圖連接。我和我們組的同學一起討論分析,仔細研究資料,終於把整點報時電路高清楚了。回過頭來一想,其實設計這些電路也並不是很困難,而且還十分有意思。唯一遺憾的是沒有將總原理圖用protel話出來,因為時間關係只畫了幾個局部圖。

課程設計是一個學習新知識、鞏固加深所學課本理論知識的過程,它培養了我們綜合運用知識的能力,獨立思考和解決問題的能力。它不僅加深了我對電子技術課程的理解,還讓我感受到了設計電路的樂趣。在這次設計中,我一點也不怕麻煩,反覆設計、繪圖與修改,就是希望能把這次課程設計做好。因此對我來説,這次課程設計是非常有意義的。

電子鐘課程設計心得(2):

數字鐘是一種用數字電路技術實現時、分、秒計時的裝置,與機械式時鐘相比具有更高的準確性和直觀性,且無機械裝置,具有更更長的使用壽命,因此得到了廣泛的使用。

數字鐘從原理上講是一種典型的數字電路,其中包括了組合邏輯電路和時序電路。

因此,我們此次設計(推薦訪問本站:)與製做數字鐘就是為了瞭解數字鐘的原理,從而學會製作數字鐘.而且通過數字鐘的製作進一步的瞭解各種在製作中用到的中小規模集成電路的作用及實用方法.且由於數字鐘包括組合邏輯電路和時敍電路.通過它可以進一步學習與掌握各種組合邏輯電路與時序電路的原理與使用方法.

二、設計要求

(1)設計指標

①時間以12小時為一個週期;

②顯示時、分、秒;

③具有校時功能,可以分別對時及分進行單獨校時,使其校正到標準時間;

④計時過程具有報時功能,當時間到達整點前10秒進行蜂鳴報時;

⑤為了保證計時的穩定及準確須由晶體振盪器提供錶針時間基準信號。

(2)設計要求

①畫出電路原理圖(或仿真電路圖);

②元器件及參數選擇;

③電路仿真與調試;

④pcb文件生成與打印輸出。

(3)製作要求自行裝配和調試,並能發現問題和解決問題。

(4)編寫設計報告寫出設計與製作的全過程,附上有關資料和圖紙,有心得體會

三、原理框圖

1.數字鐘的構成

數字鐘實際上是一個對標準頻率(1hz)進行計數的計數電路。由於計數的起始時間不可能與標準時間(如北京時間)一致,故需要在電路上加一個校時電路,同時標準的1hz時間信號必須做到準確穩定。通常使用石英晶體振盪器電路構成數字鐘。

(a)數字鐘組成框圖

2.晶體振盪器電路

晶體振盪器電路給數字鐘提供一個頻率穩定準確的32768hz的方波信號,可保證數字鐘的走時準確及穩定。不管是指針式的電子鐘還是數字顯示的電子鐘都使用了晶體振盪器電路。一般輸出為方波的數字式晶體振盪器電路通常有兩類,一類是用ttl門電路構成;另一類是通過cmos非門構成的電路,本次設計採用了後一種。如圖(b)所示,由cmos非門u1與晶體、電容和電阻構成晶體振盪器電路,u2實現整形功能,將振盪器輸出的近似於正弦波的波形轉換為較理想的方波。輸出反饋電阻r1為非門提供偏置,使電路工作於放大區域,即非門的功能近似於一個高增益的反相放大器。電容c1、c2與晶體構成一個諧振型網絡,完成對振盪頻率的控制功能,同時提供了一個180度相移,從而和非門構成一個正反饋網絡,實現了振盪器的功能。由於晶體具有較高的頻率穩定性及準確性,從而保證了輸出頻率的穩定和準確。

一、設計目的

數字鐘是一種用數字電路技術實現時、分、秒計時的裝置,與機械式時鐘相比具有更高的準確性和直觀性,且無機械裝置,具有更更長的使用壽命,因此得到了廣泛的使用。

數字鐘從原理上講是一種典型的數字電路,其中包括了組合邏輯電路和時序電路。

因此,我們此次設計與製做數字鐘就是為了瞭解數字鐘的原理,從而學會製作數字鐘.而且通過數字鐘的製作進一步的瞭解各種在製作中用到的中小規模集成電路的作用及實用方法.且由於數字鐘包括組合邏輯電路和時敍電路.通過它可以進一步學習與掌握各種組合邏輯電路與時序電路的原理與使用方法.

二、設計要求

(1)設計指標

①時間以12小時為一個週期;

②顯示時、分、秒;

③具有校時功能,可以分別對時及分進行單獨校時,使其校正到標準時間;

④計時過程具有報時功能,當時間到達整點前10秒進行蜂鳴報時;

⑤為了保證計時的穩定及準確須由晶體振盪器提供錶針時間基準信號。

(2)設計要求

①畫出電路原理圖(或仿真電路圖);

②元器件及參數選擇;

③電路仿真與調試;

④pcb文件生成與打印輸出。

(3)製作要求自行裝配和調試,並能發現問題和解決問題。

(4)編寫設計報告寫出設計與製作的全過程,附上有關資料和圖紙,有心得體會。

三、原理框圖

1.數字鐘的構成

數字鐘實際上是一個對標準頻率(1hz)進行計數的計數電路。由於計數的起始時間不可能與標準時間(如北京時間)一致,故需要在電路上加一個校時電路,同時標準的1hz時間信號必須做到準確穩定。通常使用石英晶體振盪器電路構成數字鐘。

(a)數字鐘組成框圖

2.晶體振盪器電路

晶體振盪器電路給數字鐘提供一個頻率穩定準確的32768hz的方波信號,可保證數字鐘的走時準確及穩定。不管是指針式的電子鐘還是數字顯示的電子鐘都使用了晶體振盪器電路。一般輸出為方波的數字式晶體振盪器電路通常有兩類,一類是用ttl門電路構成;另一類是通過cmos非門構成的電路,本次設計採用了後一種。如圖(b)所示,由cmos非門u1與晶體、電容和電阻構成晶體振盪器電路,u2實現整形功能,將振盪器輸出的近似於正弦波的波形轉換為較理想的方波。輸出反饋電阻r1為非門提供偏置,使電路工作於放大區域,即非門的功能近似於一個高增益的反相放大器。電容c1、c2與晶體構成一個諧振型網絡,完成對振盪頻率的控制功能,同時提供了一個180度相移,從而和非門構成一個正反饋網絡,實現了振盪器的功能。由於晶體具有較高的頻率穩定性及準確性,從而保證了輸出頻率的穩定和準確。

(f)帶有消抖電路的校正電路

6.整點報時電路

電路應在整點前10秒鐘內開始整點報時,即當時間在59分50秒到59分59秒期間時,報時電路報時控制信號。

當時間在59分50秒到59分59秒期間時,分十位、分個位和秒十位均保持不變,分別為5、9和5,因此可將分計數器十位的qc和qa、個位的qd和qa及秒計數器十位的qc和qa相與,從而產生報時控制信號。

報時電路可選74hc30來構成。74hc30為8輸入與非門。

四、元器件

1.四連麪包板1塊(編號a45)

2.鑷子1把

3.剪刀1把

4.共陰八段數碼管6個

5.網絡線2米/人

6.cd4511集成塊6塊

7.cd4060集成塊1塊

8.74hc390集成塊3塊

9.74hc51集成塊1塊

10.74hc00集成塊4塊

11.74hc30集成塊1塊

12.10mω電阻5個

13.500ω電阻14個

14.30p電容2個

15.32.768k時鐘晶體1個

16.蜂鳴器10個(每班)

1)芯片連接圖

1)74hc00d2)cd4511

3)74hc390d4)74hc51d

2.麪包板的介紹

麪包板一塊總共由五部分組成,一豎四橫,麪包板本身就是一種免焊電板。

麪包板的樣式是:

麪包板的注意事項:

1.麪包板旁一般附有香蕉插座,用來輸入電壓、信號及接地。

2.上圖中連着的黑線表示插孔是相通的。

3.拉線時,儘量將線緊貼麪包板,把線成直角,避免交叉,也不要跨越元件。

4.麪包板使用久後,有時插孔間連接銅線會發生脱落現象,此時要將此排插孔做記號。並不再使用。

五、各功能塊電路圖

數字鐘從原理上講是一種典型的數字電路,可以由許多中小規模集成電路組成,所以可以分成許多獨立的電路。

(一)六進制電路

由74hc390、7400、數碼管與4511組成,電路如圖一。

(二)十進制電路

由74hc390、7400、數碼管與4511組成,電路如圖二。

(三)六十進制電路

由兩個數碼管、兩4511、一個74hc390與一個7400芯片組成,電路如圖三。

(四)雙六十進制電路

由2個六十進制連接而成,把分個位的輸入信號與秒十位的qc相連,使其產生進位,電路圖如圖四。

(五)時間計數電路

由1個十二進制電路、2個六十進制電路組成,因上面已有一個雙六十電路,只要把它與十二進制電路相連即可,詳細電路見圖五。

(六)校正電路

由74ch51d、74hc00d與電阻組成,校正電路有分校正和時校正兩部分,電路如圖六。

(七)晶體振盪電路

由晶體與2個30pf電容、1個4060、一個10兆的電阻組成,芯片3腳輸出2hz的方波信號,電路如圖七。

(八)整點報時電路

由74hc30d和蜂鳴器組成,當時間在59:50到59:59時,蜂鳴報時,電路如圖八。

六、總接線元件佈局簡圖

整個數字鐘由時間計數電路、晶體振盪電路、校正電路、整點報時電路組成。

其中以校正電路代替時間計數電路中的時、分、秒之間的進位,當校時電路處於正常輸入信號時,時間計數電路正常計時,但當分校正時,其不會產生向時進位,而分與時的校位是分開的,而校正電路也是一個獨立的電路。

電路的信號輸入由晶振電路產生,並輸入各電路。

簡圖如圖九。

七、芯片連接總圖

因仿真與實際元件上的差異,所以在原有的簡圖的基礎上,又按實際佈局畫了這張按實際芯片佈局的接線圖,如圖十。

八、總結

1.實驗過程中遇到的問題及解決方法

①麪包板測試

測試麪包板各觸點是否接通。

第二篇:電子鐘課程設計

paequ 8002h

pbequ 8004h

pccequ 8001h

org0000hljmp startorg 001bhljmp int

start:mov r0,#71hmov r1,#06h

clear:mov @r0,#00hinc r0

dec r1

djnz r1,clearmov 6dh,#00mov 6ch,#00mov 7dh,#00acall miaomov 7eh,#00acall fenmov 7fh,#00acall xiaoshiini8255:mov dptr ,#8003hmov a,#81h

movx @dptr,ainit1:mov tmod ,#20hmov tl1, #06hmov th1,#06hsetb tr1setb et1setb ea

loop1: lcall displaylcall ymyjzloop1mov r1,#70h

lcall ddcjne a ,#0fh,loop1clrtr1

loop2:lcall dir

lcall ymyjzloop2;清零 ;8255初始化;t1初始化 ;判斷有無鍵按下 ;判斷到底哪個鍵按下;判斷有無鍵按下

lcall dd;判斷到底哪個鍵按下,並寫進存儲單元cjne r1,#77h,loop;最後一位有沒有輸入完

setbtr1

lcall zh

ljmploop1

loop:ljmploop2

int:push acc;中斷子程序

push psw

inc 6dh

mov a,6dh

jnz bj

inc 6ch

bj:mov a,#0a0h

cjne a,6dh,return

mov a,#0fh

cjne a,6ch,return

mov 6dh,#00h

mov 6ch,#00h

mov a,#01h

add a,7dh

mov 7dh,a

acall miao

mov a,7dh

cjne a,#60,return

mov 7dh,#0

acallmiao

inc7eh

acall fen

mov a,7eh

cjne a,#60,return

mov7eh,#00h

acall fen

inc 7fh

acall xiaoshi

mov a,7fh

cjne a,#24,return

mov 7fh,#00h

acall xiaoshi

return: pop psw

pop acc

reti

miao: mov a,7dh

div ab

mov 75h, a

mov 76h,b

ret

fen:mov a,7eh

mov b,#10

div ab

mov 73h,a

mov 74h,b

ret

xiaoshi: mov a,7fh

mov b,#10

div ab

mov 71h,a

mov 72h,b

ret

zh:;輸入值轉換並送入相應存儲單元

mova, 75h

movb,#10

mulab

add a,76h

mov7dh,a

mova, 73h

movb,#10

mulab

add a,74h

mov 7eh,a

mova, 71h

movb,#10

mulab

add a,72h

mov 7fh,a

ret

display: mova,7dh;顯示子程序

movb,#10

divab

mov75h,a

mov76h,b

mova,7eh

divab

mov73h,a

mov74h,b

mova,7fh

movb,#10

divab

mov71h,a

mov72h,b

dir:mov r0,#76h

mov r2,#01h

clr c

dir1:mov a,r2

mov dptr,#pa

movx @dptr,a

mov a,@r0

mov dptr,#tab

movc a,@a+dptr

mov dptr, #pb

movx @dptr,a

acall delay

dec r0

mov a,r2

rl a

mov r2,a

cjne r0,#70h,dir1

ret

tab:db3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,40h

ymy:mov dptr,#pa;判斷有無鍵按下子程序

mov a,#00h

movx @dptr ,a

inc dptr

mov dptr,#pcc

movx a,@dptr

cpl a

anl a,#0fh

ret

dd:mov r2,#0dfh;判斷到底哪個鍵按下並送入相應單元子程序mov r5,#4

mov r4,#00h

lk4:mov dptr,#pa

mov a,r2

movx @dptr,a

inc dptr

mov dptr,#pcc

movx a,@dptr

jb acc.0,line1

mov a,#00h

ajmp lkp

line1:jb acc.1,line2

mov a,#04h

ajmp lkp

line2:jb acc.2,line3

mov a,#08h

ajmp lkp

line3:jb acc.3,next

mov a,#0ch

lkp:add a,r4

acall keyin

push acc

lk3:acall ymy

jnz lk3

pop acc

ret

next:inc r4

mov a,r2

rr a

mov r2,a

djnz r5,lk4

kend:ret

keyin:

movdptr,#keytab

movca,@a+dptr

mov@r1,a

incr1

ret

delay: mov r7,#02h

de:mov r6,#0ffh

djnz r6, $

djnz r7,de

ret

keytab: db 00h,0fh,0eh,0dh

db 01h,02h,03h,0ch

db 04h,05h,06h,0bh

db 07h,08h,09h,0ah

end

;本程序用到的8255是這樣接的:pa口接位選信號,也是列信號, ;pb口接七段數碼管,pc口只用到其低四位,接行信號。

;通過改變8255的初始化,接法不固定。

;運行時,f鍵可設置時間值(先停後設置)

第三篇:單片機課程設計電子鐘

課程設計任務書

指導教師填寫)

課程設計名稱電子技術課程設計學生姓名專業班級設計題目數字鐘

一、課程設計的任務和目的

任務:設計一台能顯示“時”、“分”、“秒”的數字鐘,週期為24小時;具有校時、正點報時功能。

目的:培養學生綜合運用所學知識的能力,綜合設計能力,培養動手能力及分析問題、解決問題的能力。

二、設計內容、技術條件和要求

1.數字鐘可顯示“時”、“分”、“秒”,且“時”、“分”、“秒”分別用兩個數碼管顯示,計滿23小時60分鐘60秒,則全部清零。

2.具有校時功能,時、分校時用1hz的信號進行,而秒較時用2hz時鐘信號進行。

3.整點能自動報時。要求報時聲響為四低一高,最後一響為整點,前四聲用500hz信號讓喇叭發聲,最後一聲用1000hz信號。

4.根據上述要求,畫出電路總框圖,簡述各部分工作原理。

5.進行各部分電路的設計,要求有分析過程、原理圖表示。

6.對原理圖進行仿真。

7.在實驗箱上組裝、調試。

8.撰寫設計總結報告。

三、時間進度安排

第一週:理論設計。

週一上午佈置設計任務,講解設計要求,安排答疑、實驗時間;

週三、週四下午課程設計答疑,其他時間學生查資料,做初步理論設計;

週五交設計初稿,由指導教師審查;

第二週:仿真和安裝調試、撰寫設計總結報告

週一、二學生進實驗室做仿真實驗,並根據實驗情況修正設計圖;

週三至週五做插接線實驗,最後根據實驗情況總結、撰寫設計説明書。

四、主要參考文獻

1.各種版本的數字電子技術基礎教材

2. 各種版本的電子技術課程設計指導書

3. 集成電路手冊

指導教師簽字:年月日

第四篇:數字電子鐘課程設計

目錄

一. 引言???????????????????????2

二. 課程設計目的???????????????????2

三. 設計所需要的器材?????????????????2

四. 課程設計原理???????????????????2

五. 課程設計各個部分模塊的介紹????????????2

1.振盪器????????????????????????????2.

2.分頻器????????????????????????????3.

3.計數器???????? ????????????????????3.

4.譯碼器????????????????????????????3

5. 顯示器????????????????????????????4

6. 正點報時的擴展電路??????????????????????4

六.設計總結??????????????????????4

七.心得體會??????????????????????4

八.各部分電路圖???????????????????5—8

九.總電路圖?????????????????????.9

- 1 -

一.引言

當今時代,電子技術迅猛發展,各種各樣的電子產品也相繼出現,數字電子鐘也應運而生。數字電子鐘能夠將時間以數字的形式直觀地展現出來,讓人們更加清楚地掌握時間,因此備受人們的青睞。數字鐘是採用數字電路來實現的,以“時”、“分”、“秒”的形式直觀地顯示時間。它已成為人們日常生活必不可少的一部分,廣泛地應用在各家各户以及車站等公共場所,數字鐘的廣泛應用,有着非常現實的意義,由於數字集成電路的發展,使得數字電子鐘的精度,遠遠超過老式鐘錶, 而且具有較好報時功能。本設計採用各種集成電路,進行了一個具有正點報時功能的數字電子鐘的設計。由於本人能力有限,設計中如有不足之處,還請老師批評指正。

二.課程設計目的

1.獨立完成一個數字電子鐘的設計;

2.瞭解和掌握用數字集成電路來設計數字鐘的基本原理和方法;

3.掌握n進制計數器的設計與並瞭解一些常用的電子芯片的功能;

4.進一步鞏固所學到的理論知識,並應用所學知識分析和解決實際問題;

三.設計所需要的器材

1.555定時器一個

2.電阻:2k、10k、5.1k、0.3k各一個;1k電阻42個

3.電容:0.1ūf、0.01ūf各一個

4.芯片:74ls90(三個)、74ls161(兩個)cd4518一個、cd4511(六個)

5.共陰極七段顯示器(六個)

6.喇叭1個

四.課程設計原理

數字鐘是由振盪器、分頻器、計數器、譯碼器、顯示器以及具有正點報時功能的擴展電路所構成的。

欲設計一個數字電子鐘,首先應該有一個脈衝源(能夠自動的產生穩定的標準時間脈衝信號),即為振盪器;但是一般脈衝源所產生的脈衝信號的頻率較高,所以,就需要使用分頻器對其進行分頻,從而得到適合用來計時的秒脈衝信號,即頻率為1hz的秒脈衝信號;經過分頻器輸出的秒脈衝信號,再進入計數器當中進行計數,又由於在計數時,北京時間規定60秒為一分鐘,60分鐘為一小時,24小時為一天,因此就需要兩個60進制的計數器和一個24進制的計數器;計數器計數完畢後再經過譯碼器進行譯碼;最後在顯示器中將累計結果以“時”、“分”、“秒”的形式顯示出來。能夠正點報時的擴展電路完成了對整時的提示, 使人們能夠更清楚地掌握時間。圖1為數字鐘的邏輯框圖。

五.課程設計各個部分模塊的介紹

1. 振盪器

振盪器的精確度和穩定性對電子鐘的質量影響最大,石英晶體振盪器具有震

蕩頻率準確、頻率容易調整且電路結構較簡單的優點。但一般來講,如果振盪器的頻率和其計時精度越高,則其耗電量越大。555定時器是一種將模擬功能與邏輯功能巧妙地結合在一起的中規模集成電路,功能靈活,所以本設計採用由集成電路定時器555與rc組成的多諧振盪器。555定時器由電阻分壓器、比較器、基本rs觸發器、雙極型三極管t和輸出緩衝器組成,其外部有八個引腳,第8腳為電源端,第1腳為接地端,第3腳為輸出端,第4腳為直接復位端,第5腳為控制電壓輸入端,第6腳為復位控制端,第2腳為置位控制端,第7腳為放電端。圖2為由集成電路定時器555與rc組成的多諧振盪器的電路圖,圖3為555定時器的引腳圖。r為可調電阻,調節r1時可以得到相應頻率的信號輸出。

2. 分頻器

由於振盪器所產生的信號頻率很高,因此需要由分頻器來實現對信號頻率的調整,從而得到頻率為1hz的脈衝信號,本設計採用3片中規模集成電路計數器74ls90來實現,從而得到設計所需要的秒脈衝信號,其電路圖如圖4所示。

3. 計數器

“秒”和“分”計數器應當採用60進制計數器,而“時”計數器應當採用24進制計數器。秒脈衝信號經過六級計數器以後,分別得到“秒”的個位、十位,“分”的個位、十位,“時”的個位、十位的計時。

60進制計數:“秒”和“分”的計數都需要60進制,本設計根據《電子技術》課本中提到的知識,採用兩片74ls161組成256進制計數器後再用反饋歸零法來組成60進制計數,其中,“秒”十位是六進制,“秒”個位是十進制其電路圖如圖5所示。74ls161芯片的引腳排列圖和邏輯功能示意圖如圖6所示。圖中c是輸入計數脈衝,cr非是清零端,ld非是置數端,ctp和ctt是計數工作狀態控制端,d0~d3是並行數據輸入端,co是進位信號輸出端,q0~q3是計數器狀態輸出端。

24進制計數:“時”的計數是24進制計數,本設計採用cd4518來實現24進制計數,cd4518是一個同步加法計數器,在一個封裝中含有兩個可互換二/十進制計數器,其功能引腳分別為1~7和9~{15}.該cd4518計數器是單路系列脈衝輸入(1腳或2腳;9腳或10腳),4路bcd碼信號輸出(3腳~6腳;{11}腳~{14}腳)。完成24進制計數的電路圖如圖7所示,cd4518的引腳圖如圖8所示。

4.譯碼器

我們在新校區做電子試驗時,在“譯碼器及其應用”實驗中曾用到芯片cd4511,對其較為熟悉,因此本設計採用數字顯示譯碼器cd4511,來實現計數器傳來的信號的譯碼功能。譯碼是編碼的逆過程,即,將給定的代碼進行翻譯的過程。當計數器所採用的碼制不同時,譯碼電路也會隨之不同。cd4511內接有

上拉電阻,故只需在輸入端與數碼管筆段之間傳入限流電阻即可工作。其特點為:具有bcd轉換、消隱和鎖存控制、七段譯碼及驅動功能的cmos電路能提供較大的拉電流。可直接驅動led顯示器。cd4511的引腳圖如圖9所示。

5顯示器

本設計用七段發光二極管來顯示譯碼器所輸出的數字,顯示器有共陽極顯示器和共陰極顯示器兩種,而74ls48譯碼器所對應的顯示器是共陰極(接地)顯示器。led7段顯示器的外形圖及二極管的連接方式如圖10所示。

6.正點報時的擴展電路

該正點報時的功能為:最外端對其安裝一個喇叭,每當正點到來時,按4次低音和一次高音的順序發出間斷聲響,最後一聲高音結束的時刻正好是正點。設聲響為一秒鐘,則相鄰聲響時刻為2秒,則低音發聲時刻分別為59分51秒、53秒、55秒、57秒,高音發聲時刻為59分59秒,由此可定出每次聲響的時刻。部分門電路控制音響,輸入有時、分的各相應位的控制組合。設高低音頻率分別為512hz和1024hz。其電路圖如圖11所示。

六.設計總結

本數字電子鐘的設計是由振盪器、分頻器、計數器、譯碼器、顯示器和正點報時電路所組成。但本設計電路的缺點是:沒有設計校時電路,即據該方案進行生產得到的產品並無校時功能;且其只能正點報時,而不能報整時數。該設計方案的優點是:採用北京時間計時,直接將時間以數字形式表現出來、精確度較高、走時穩定、使用方便、且它具有正點報時功能。本設計採用有集成電路定時器555與rc組成的多諧振盪器組成,由它得到高頻信號;再將此信號傳至由3片中規模集成電路計數器74ls90相串聯得到的分頻器中,從而得到我們計時所需要的秒信號(頻率為1hz的秒信號);之後再將該信號傳至計數器,計數器計數的準確性直接影響數字表的準確,且計數器部分是我們《電子技術》課程學習的重點,計數器包括兩部分,即24進制計數和60進制計數,本設計24進制計數採用cd4518來實現,60進制採用我們《電子技術》課本上學到的方法:採用兩片74ls161組成256進制計數器後再用反饋歸零法來組成60進制計數器;經過準確計數後,再將信號傳至譯碼器,由於我們在新校區做電子試驗時,在“譯碼器及其應用”試驗中曾用到芯片cd4511,故本設計採用顯示譯碼器cd4511,來進行對來自計數器信號的譯碼(需要在輸入端與數碼管筆段之間串入限流電阻);最後將時間以數字形式體現在顯示器上,顯示器由七段發光二極管採用共陰極接法組成;本設計還有一個能夠正點報時的擴展電路,它的功能是每當正點到來時,按4次低音和一次高音的順序發出間斷聲響,它由組合邏輯電路組成。其總電路圖如圖12所示。

七.心得體會

通過這次對數字電子鐘的課程設計,我覺着最大的收穫就是增強了自己獨立收集資料的能力,鍛鍊了自己獨立思考、獨立解決問題的能力。雖然我們至此已經完成了本學期對電子技術課程(模電部分和數電部分)的學習,但在本次課設的實際應用當中仍然遇到了很多未曾想到的問題。實際操作是我們的目的,而理論知識是我們實際操作的基礎,這使我更加體會到了理論聯繫實際的重要性,同時也增加了自己解決實際問題的能力,對獨立設計電路的過程、對各個分塊電路的工作原理和功能的實現過程都有了更加清楚的瞭解。同時對所學到的理論知識有了更近一部的理解(尤其是計數器部分)。

此外,通過這次的課程設計,使得我對word等應用軟件的應用能力有了更進一步的提高,為以後的工作和日常生活中的應用打下了結實的基礎。

八.各部分電路圖如下:

第五篇:單片機課程設計電子鐘設計

單片機課程設計電子鐘設計

目錄

一、摘要

二、設計任務

三、基本原理

數碼管顯示可以用靜態顯示或動態顯示方法。靜態顯示需要數據鎖存器等硬件,接口複雜,時鐘顯示用四個數碼管。動態顯示相對簡單,但需動態掃描,掃描頻率要大於人視覺暫留頻率,信息看起來才穩定。譯碼方式可分為軟件譯碼和硬件譯碼,軟件譯碼通過譯碼程序查的顯示信息的字段碼;硬件譯碼通過硬件譯碼器得到顯示信息的字段碼,實際中通常採用軟件譯碼。

在具體處理時,定時器計數器採用中斷方式工作,對時鐘的形成在中斷服務程序中實現。在主程序中只需對定時器計數器初始化、調用顯示子程序和控制子程序。另外,為了使用便,設計了簡單的按鍵,可以通過按鍵實現時、分的調整,這樣在主程序中就加入了按鍵設置子程序。

四、編程算法思路

五、程序流程圖

六、硬件單元設計

七、軟件單元設計

八、調試結果分析

九、設計總結及心得體會

十、參考文獻

一設計任務

1、基本任務:利用定時器/計數器中斷和靜態顯示或動態顯示,實現電子時鐘的時分秒精確走時和校準

。時間顯示用四個數碼管分別顯示時、分、秒用點表示,在時和分的中間閃動,時間顯示格式(18:49)

時間校準用2個鍵實現:一個鍵k1作移位選擇(選中要修改的位,選中的位用閃爍指示),一個鍵k2做加1(對選中的位進行加1修改)。

2、功能增強型任務:在基本任務的基礎上加上日曆功能、準時報時功能和跑表功能

(1)日曆功能:能實現時、分、秒和年、月、日計時,增加1個按鍵控制分3屏例如顯開始的第1屏默認顯示“時、分、秒”四位+秒點,按下k3鍵顯示“月和日”四位,再按下課k3鍵顯示“年份”四位,再按下k3鍵顯示“時、分、秒”,依次類推。程序要能處理閏年、閏月功能。

(2)準點報時功能:可以在增加一個按鍵k4設計具有鬧鐘功能,實現定點報時。具體操作是:按下

k4鍵,進入鬧鐘設置功能,再通過k1、k2鍵來完成定點報警時間的設置。

(3)跑表功能:再增加一個按鍵k5設計跑表功能,實現啟動毫秒計數,相當與田徑運動比賽的跑

表工作。

三基本原理

軟件時鐘時利用單片機內部的定時器/計數器來實現的,它的過程如下:首先定單片機內部的一個定時器/計數器工作於定時方式,對機器週期形成基準時間,然後用另一個定時器/計數器或軟件計數的方法對基準時間計數形成秒,秒計60次形成分,分計60次形成小時,小時計24次則計滿一天。然後通過數碼管把它們的內容在相應位置顯示出來即可。

四編程算法思路

1、主程序的設計:串行口工作方式0,定時器/計數器1工作在方式1進行初始化,然後通過循環(調用顯示子程序)等待定時中斷的到來。

2、按鍵的控制:key1控制時的調節,key2控制分的調節,key3控制時、分定型

3、中斷服務程序的設計:中斷服務程序主要功能是實現時、分、秒的計時處理。

4、時、分、秒計時的實現:秒計時時採用中斷方式進行溢出次數的累計得到的。從秒到分,從分到時可通過軟件的累加和比較到位方法來實現。要求每滿1秒,則“秒”單元中的內容加1;“秒”單元每滿60,則“秒”單元清0,同時“分”單元中的內容加1;“分”單元每滿60,則“分”單元清0,同時“時”單元加1。“時”單元每滿24,則將“時”單元清0。

5、顯示子程序:採用數碼管靜態顯示來顯示時鐘的走動

6,延時子程序:用來實現按鍵操控延時和實現整點12時報時

五程序流程圖

六硬件單元設計

1、電路總設計圖

2、at89c51芯片

七軟件單元設計

1、資源分配:定時器t1,p1.6為調整時鐘,p1.5為調整分鐘,p1.4為控制調整

30h秒顯示單元,31h分顯示單元,32h時顯示單元,08h放分調整標

志,09h放時調整標誌,0ah放閃爍標誌

2、程序清單(加註釋)

八調試結果分析

在實驗操作過程中,將所寫好的程序打入計算機內,通過編譯檢查其是否有錯誤,如有錯誤將其改正,直至無誤後下載仿真器,實現運行。觀察實驗箱上的數碼管顯示,判斷其是否為所需結果,如果與所要求的有差別,需繼續調試,重新修改程序,檢查硬件設施不斷地調試,不斷地檢查直至得到所要的結果。在調試過程中,開始運行時能夠實現時鐘的顯示,但是無法實現其閃爍功能,後經不斷調試修改及同學的幫助最終實現該功能,能夠達到時鐘顯示調節的基本要求,還可以實現整點報時。但在增加其功能顯示年月時又出現一定的問題,未能實現該功能,程序存在一定的不足性,需繼續完善,實現更多的功能

九設計總結及心得體會

經過兩天的單片機課程設計,終於完成了我的電子時鐘的設計,雖然沒有完全達到設計要求,但從心底裏説,還是高興的,畢竟這次設計把一些基本功能都做了出來,只是一些增強型功能未能實現。

在本次設計的過程中,我發現很多的問題,雖然以前還做過一些實驗但這次設計真的讓我長進了很多單片機課程設計重點就在於軟件算法的設計,需要有很巧妙的程序算法,雖然以前寫過幾次程序,但我覺的寫好一個程序並不是一件簡單的事,要有通篇的全局思想考慮問題。在操作的過程中,出現許多錯誤,都是在連接處不能夠上下連貫正確運行,還需繼續努力。有好多的東西,只有我們去試着做了,才能真正的掌握,只學習理論有些東西是很難理解的,更談不上掌握。

從這次的課程設計中,我真真正正的意識到,在以後的學習中,要理論聯繫實際,把我們所學的理論知識用到實際當中,學習單機片機更是如此,程序只有在經常的寫與讀的過程中才能提高,這就是我在課程設計中的最大收穫,同時,要把所學只是靈活應用才能真正領悟其中的意義,加深對它的理解與掌握。還有,通過此次的操作也使我的實踐操作能力得到了進一步的提高。

十參考文獻

【1】張毅剛,彭喜元,董繼成。單片機原理及應用。北京:高等教育出版社,2014

【2】周航慈。單片機應用程序設計技術(修訂版)。北京:北京航空航天大學出版社,2014

【3】萬光毅等。單片機實驗與實踐教程。北京:北京航空航天大學出版社,2014

【4】何立民,i2c總線應用系統設計。北京:北京航空航天大學出版社,2014

【5】周航慈,朱兆優,李躍忠。智能儀器原理與設計。北京:北航大學出版社,2014